查看: 2094|回复: 0

NANO1_3.7LCD显示图片

[复制链接]
  • TA的每日心情
    开心
    2014-5-23 11:08
  • 签到天数: 1 天

    连续签到: 1 天

    [LV.1]初来乍到

    发表于 2014-4-23 10:21:06 | 显示全部楼层 |阅读模式
    分享到:
    NANO1_3.5LCD显示图片
    1.生成.ceo文件
    在设计中涉及到调用内部ROM模块,在xilinxROM存储文件扩展名.ceo文件,
    1.1将一张图片通过画图工具打开。
    1.1.1 调整大小,像素大小调整为320*240,然后另存为单色位图。
    图片1.png
    打开matlab将文档的代码复制到MATLAB中,注意原文件路径的修改
    图片2.png
    源代码如下
    im=imread('C:\Users\Administrator\Desktop\11.bmp');
    [a,b,c]=size(im);
    rgbs=im;
    imshow(rgbs);
    r=rgbs(:,:,1);
    %g=rgbs(:,:,2);
    %b=rgbs(:,:,3);
    r1=reshape(r,1,320*240);
    %g1=reshape(g,1,320*240);
    %b1=reshape(b,1,320*240);
    fid = fopen( 'pic.coe', 'w+' );
    fprintf( fid, 'memory_initialization_radix=2;\n');
    fprintf( fid, 'memory_initialization_vector =\n');
    for i=1:320*240
    fprintf( fid, '%x,\n',r1(i));
    %fprintf( fid, '%x,\n',g1(i));
    %fprintf( fid, '%,,\n',b1(i));
    end
    fprintf( fid, ';');%最后是‘;’不是‘end’
    fclose( fid );
    点击运行生成的.ceo文件
    2.ceo装入ROM
    打开ISE12.3
    创建一个IP block ram,选则如图
    图片3.png
    点击next
    图片4.png
    选择.ceo文件路径生成IP,
    3.下载到NANO2开发板
    显示
    图片6.png
    下载过程就不说了,
    程序代码可详见
    图片7.png
    更多了解,请关注:
    NANO2用户资料,例程链接    http://yunpan.cn/QpNRkwW9ZFnek                file:///C:\Users\ADMINI~1\AppData\Local\Temp\ksohtml\wps_clip_image-4879.png
    NANO2 论坛链接      http://www.zingsoc.com/forum/forum.php          file:///C:\Users\ADMINI~1\AppData\Local\Temp\ksohtml\wps_clip_image-23024.png
    NANO2开箱视频演示http://v.youku.com/v_show/id_XNjgyMDM3NDQ0.html    file:///C:\Users\ADMINI~1\AppData\Local\Temp\ksohtml\wps_clip_image-12319.png
    关于这款开发板,请关注新浪微博及博客
                          http://weibo.com/u/5061825906
                          http://blog.sina.com.cn/u/5061825906

    RM3_3.5LCD_redlogic.zip (4.72 MB, 下载次数: 9)
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-25 18:03 , Processed in 0.107580 second(s), 16 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.