查看: 9011|回复: 19

MAX10学习FPGA--开发环境搭建

  [复制链接]
  • TA的每日心情
    慵懒
    2016-10-17 12:07
  • 签到天数: 306 天

    连续签到: 1 天

    [LV.8]以坛为家I

    发表于 2014-12-31 01:12:40 | 显示全部楼层 |阅读模式
    分享到:
                  今天就简单讲一下搭建环境的事情吧。
    一、安装Quartus II 14.1
    首先去官网下载Quartus II 14.1 网络版。安装即可,只有最新版(14.1)的才支持 MAX 10。

    二、安装USB-Blaster 驱动
    大概十几分钟之后安装完毕。可以打开USB-Blaster盒子里的使用手册。
    IMG_20141230_230304.jpg                      
    IMG_20141231_000526.jpg

    上面很清楚给出了如何安装 USB-Blaster的驱动,刚刚我们安装好的Quartus II 里已经有了USB-Blaster的驱动文件,打开你的Quartus II 安装目录 (安装目录\quartus\drivers\usb-blaster)在这个文件夹里就是你的USB-Blaster驱动文件。将USB-Blaster插入计算机,打开计算机的设备管理器,可以看到一个带问号的USB-Blaster设备,此时点击右键,更新驱动,手动更新,找到(安装目录\quartus\drivers\usb-blaster)安装即可。

    三、新建工程
            打开Quartus II  web edition。
           File -> New Project Wizard,然后 点击 next
            填写工作区,和工程名,

             Unnamed QQ Screenshot20141231004548.jpg

            选择器件
             Unnamed QQ Screenshot20141231004640.jpg
            一路 next ,最后完成。

    此时工程中还没有文件,点击File -> new file 选择VHDL 文件。
    在VHDL 文件中写入如下代码
    1. <blockquote>library IEEE;
    复制代码
    点击保存。

    点击 Project -> add/remove files in project 如下图所示

    Unnamed QQ Screenshot20141231005312.jpg

    将刚才的VHDL 文件添加到当前工程中去。

    在工程文件出右击 ,选择下图中的选项。

    Unnamed QQ Screenshot20141231005503.jpg


    点击 Assignment -> Pin planer 进行分配引脚。
    有电路图可知如下

    Unnamed QQ Screenshot20141231010040.jpg Unnamed QQ Screenshot20141231010057.jpg

    将引脚分配如下:

    Unnamed QQ Screenshot20141231010214.jpg


    点击 Processing -> Start compilation  进行编译,如下图所示
    Unnamed QQ Screenshot20141231010717.jpg

    结果入下:
    Unnamed QQ Screenshot20141231010850.jpg


    四、程序下载
    点击 Tool -> programmer 下载程序

    点击 add file  找到  .sof文件 ,选中USB-Blaster 进行下载程序。

        上面的VHDL 语言设计的是一个与门电路。所有的LED都是共阳极,所以低电平点亮。当switch 1 和switch 2 同为真,是LED 1 熄灭,其它时刻LED等都点亮。
    IMG_20141230_235819.jpg
    IMG_20141231_000146.jpg
    夜深人静,今天就到这里了。。。。




    Unnamed QQ Screenshot20141231002905.jpg
    回复

    使用道具 举报

  • TA的每日心情
    奋斗
    2020-9-28 10:10
  • 签到天数: 1018 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2014-12-31 09:43:41 | 显示全部楼层
    深夜发帖,辛苦楼主,果断顶!!!
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2016-10-17 12:07
  • 签到天数: 306 天

    连续签到: 1 天

    [LV.8]以坛为家I

     楼主| 发表于 2014-12-31 10:26:53 | 显示全部楼层
    小菜儿 发表于 2014-12-31 09:43
    深夜发帖,辛苦楼主,果断顶!!!

    刚拿到板子,太兴奋。。。。
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2017-7-4 13:51
  • 签到天数: 347 天

    连续签到: 1 天

    [LV.8]以坛为家I

    发表于 2015-2-6 16:42:45 | 显示全部楼层
    本帖最后由 770781327 于 2015-2-6 16:47 编辑

    上面的VHDL 语言设计的是一个与门电路。所有的LED都是共阳极,所以低电平点亮。当switch 1 和switch 2 同为真,是LED 1 熄灭,其它时刻LED等都点亮。

    这边有个问题请教下,如果没按键呢,没其他现成的外设,只有灯,那实现灯闪烁怎么做呢?难道把IO口引出来人为的给么?
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2016-10-17 12:07
  • 签到天数: 306 天

    连续签到: 1 天

    [LV.8]以坛为家I

     楼主| 发表于 2015-2-6 23:19:34 | 显示全部楼层
    770781327 发表于 2015-2-6 16:42
    上面的VHDL 语言设计的是一个与门电路。所有的LED都是共阳极,所以低电平点亮。当switch 1 和switch 2 同为 ...

    论坛有人用NOIS 软核编程序闪烁的。。
    这个要加时钟之后才可以
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    慵懒
    2016-10-17 12:07
  • 签到天数: 306 天

    连续签到: 1 天

    [LV.8]以坛为家I

     楼主| 发表于 2015-4-14 12:27:57 | 显示全部楼层
    stary666 发表于 2015-4-14 11:06
    学习一下,论坛送FPGA的demo板吗?

    论坛活动挺多的。。。可以关注下。
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    奋斗
    2020-5-27 15:41
  • 签到天数: 868 天

    连续签到: 1 天

    [LV.10]以坛为家III

    发表于 2015-12-10 20:59:57 | 显示全部楼层
    学习学习                 
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2016-12-8 15:01
  • 签到天数: 459 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2015-12-22 16:51:32 | 显示全部楼层
    分享的很详细···············
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2016-12-8 15:01
  • 签到天数: 459 天

    连续签到: 1 天

    [LV.9]以坛为家II

    发表于 2015-12-22 16:52:29 | 显示全部楼层
    学习了,多谢 分享。。。。。。
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2020-6-9 13:54
  • 签到天数: 297 天

    连续签到: 1 天

    [LV.8]以坛为家I

    发表于 2015-12-23 09:59:05 | 显示全部楼层
    谢谢分享。。。
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条

    手机版|小黑屋|与非网

    GMT+8, 2024-4-17 04:59 , Processed in 0.202907 second(s), 33 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2020, Tencent Cloud.