查看: 1702|回复: 0

3*3窗口生成模块,用于生成滤波的滑动窗口,得到窗口内...

[复制链接]
  • TA的每日心情
    奋斗
    5 天前
  • 签到天数: 1813 天

    连续签到: 2 天

    [LV.Master]伴坛终老

    发表于 2016-8-25 16:15:41 | 显示全部楼层 |阅读模式
    分享到:
    谁有用Verilog写的3*3的模块代码,3*3窗口生成模块,用于生成滤波的滑动窗口,得到窗口内的所有元素数据。可否分享一下,谢谢。谁有 代码  可否分享一下 ,非常感谢.
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-4-26 03:54 , Processed in 0.104082 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.