查看: 3492|回复: 0

【锆石 A4】单口rom简单驱动DA输出正弦波

[复制链接]
  • TA的每日心情
    开心
    2016-9-21 20:33
  • 签到天数: 29 天

    连续签到: 1 天

    [LV.4]偶尔看看III

    发表于 2016-8-22 11:39:10 | 显示全部楼层 |阅读模式
    分享到:
    本帖最后由 ihalin 于 2016-8-22 12:34 编辑

    手头刚好有块高速 AD/DA 模块,用锆石A4来驱动他产生正玄波,在手上都快发霉了
    实验:将正玄波数据存储在IP核构建ROM中,从ROM中读出数据,并将数据直接通过并口输出到DA上。、
    DA原理图: 使用的是AD9708
    QQ截图20160822105232.png
    现在开始讲解实现这个功能:
    在建工程之前先准备一个正玄波的mif文件要用到一个软件来生成
    软件Guagle_wave Guagle_wave.7z (190.7 KB, 下载次数: 3)
    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条

    手机版|小黑屋|与非网

    GMT+8, 2024-4-26 23:35 , Processed in 0.122272 second(s), 16 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.